千家信息网

Modelsim下uvm环境的搭建

发表于:2024-11-20 作者:千家信息网编辑
千家信息网最后更新 2024年11月20日,本篇内容介绍了"Modelsim下uvm环境的搭建"的有关知识,在实际案例的操作过程中,不少人都会遇到这样的困境,接下来就让小编带领大家学习一下如何处理这些情况吧!希望大家仔细阅读,能够学有所成!1.
千家信息网最后更新 2024年11月20日Modelsim下uvm环境的搭建

本篇内容介绍了"Modelsim下uvm环境的搭建"的有关知识,在实际案例的操作过程中,不少人都会遇到这样的困境,接下来就让小编带领大家学习一下如何处理这些情况吧!希望大家仔细阅读,能够学有所成!

1. 下载modelsim软件

下载modelsim,这里用的是modelsim10.4版本。下载地址:https://pan.baidu.com/s/1wnCwlQ2EblCkKHFOM6gEyw 提取码:772l 。

完成下载和安装,在安装文件夹中可以看到uvm-1.1d,这是我们使用的uvm版本。在uvm-1.1d/win64下有uvm_dpi.dll文件,这是已经编译过的uvm库。

2. 编写文件

以"hello,world"为例,编写uvm源文件如下:

`timescale 1ns/1ps

`include "uvm_macros.svh"

import uvm_pkg::*;

module hello;

initial begin

`uvm_info("info", "Hello world!!!", UVM_LOW)

end

endmodule

后编写一个windows下的执行程序:run.bat。

其中只有一句话:

这个就是运行modelsim脚本文件sim.do。

Sim.do文件内容如下:

set UVM_DPI_HOME E:/modeltech74_10.4/uvm-1.1d/win64

vlib work

vlog -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF hello.sv

vsim -c -sv_lib $UVM_DPI_HOME/uvm_dpi work.hello

run 100

第一句话是设置uvm环境变量,指定uvm的dpi位置。

第二句话是创建work工作目录。

第三句话是编译源文件,并且通过-L指定几个编译库。

第三句是执行仿真,调用uvm库uvm_dpi。

第四句话是运行多长时间:100ns。

3. 执行

双击run.bat,然后会调用modelsim进行编译和仿真。

"Modelsim下uvm环境的搭建"的内容就介绍到这里了,感谢大家的阅读。如果想了解更多行业相关的知识可以关注网站,小编将为大家输出更多高质量的实用文章!

文件 编译 环境 内容 一句话 更多 源文件 版本 知识 这是 仿真 运行 实用 学有所成 接下来 下有 位置 变量 只有 困境 数据库的安全要保护哪些东西 数据库安全各自的含义是什么 生产安全数据库录入 数据库的安全性及管理 数据库安全策略包含哪些 海淀数据库安全审计系统 建立农村房屋安全信息数据库 易用的数据库客户端支持安全管理 连接数据库失败ssl安全错误 数据库的锁怎样保障安全 网络安全班会课计划 odoo直接从数据库获取 在职软件开发自我介绍 杭州市软件开发人力成本 系统软件开发app 收到网络安全周短信 网络安全审查办法自什么时间起 网络安全模式连不了网络连接 计算机软件开发文档 投标 我的世界服主怎么看服务器ip 会昌服务器的老板是谁 中亚通茂的软件开发 古交软件开发选哪家 马甲网络安全科技馆 软件开发代码管理 服务器私有网盘怎么做 洪山区海航网络安全维护价目 卡巴斯基服务器怎么添加白名单 黔东南州建行金融网络安全 上海特定网络技术服务材料 幼儿园网络安全主题班会记录 服务器有两张网卡线路会重叠吗 证券资讯数据库 网络安全设计目标责任书 软件开发代码管理 通用软件辅助软件开发的弱点 mc连接服务器需要登录cbox 美国外交关系委员会网络安全 中秋节网络安全手抄报 一台算力服务器标准功率
0