如何进行Modelsim中UVM环境的搭建
发表于:2025-01-23 作者:千家信息网编辑
千家信息网最后更新 2025年01月23日,这篇文章将为大家详细讲解有关如何进行Modelsim中UVM环境的搭建,文章内容质量较高,因此小编分享给大家做个参考,希望大家阅读完这篇文章后对相关知识有一定的了解。我安装的是 modelsim-wi
千家信息网最后更新 2025年01月23日如何进行Modelsim中UVM环境的搭建我安装的是 modelsim-win64-10.7-se.exe 这个版本,安装并激活成功后,在modelsim的安装目录就可以看到已经编译好的 UVM库,生成了 .dll 文件,供 UVM 验证使用。 verilog_src文件夹下储存有UVM的源代码。 1,测试modelsim环境变量。 在命令行窗口中输入" vsim ",如果环境变量已经添加成功,就会显示如下画面,并自动启动modelsim界面。 如果提示该命令找不到,需要把modelsim的安装路径添加到环境变量中去,重新在命令行中输入再测试。 2,建立仿真工程库,设置uvm环境。 在modelsim中建立UVM环境,使用的UVM版本是UVM1.1b。 在modelsim的安装目录下的 UVM-1.1b/win64下,就有一个uvm_dpi.dll。 在命令行中用"CD"命令,切换到仿真工程目录下。 在该文件夹下,有以下文件。 dut.sv是待测试文件,my_driver.sv里面包含驱动信号,top_tb.sv是仿真测试文件。 在命令行中输入 " vlib work ",新建一个仿真库。 设置UVM路径 ,工作路径,DPI文件路径。 命令 incdir 的用法和含义如下: 如: +incdir+YOUR_SOURCE_PATH 选项是指在verilog文件中出现`include "xxx.v" 时,包含文件的搜索路径。 即将包含文件的路径添加进工程中。 缺省是搜索当前路径,YOUR_SOURCE_PATH 指定的路径。 3,启动仿真. 输入下面命令,启动仿真。 添加所有波形,星号 * 表示添加所有顶层信号波形。
运行仿真,下面的命令选择一个即可,仿真时间可以自定义,合适即好。 即可看到仿真波形。 4,do文件的建立。 以上用命令行方式进行操作,而不用图形化界面来进行仿真,最大的原因就是这些命令行可以建立一个do文件,自动化进行仿真操作。 将以上的命令全部写入到一个文本文件中,后缀名设置为 .do 。 这里将该文件命名为 sim.do 将该文件放置在工程目录下,在modelsim中定位到该文件目录下,执行命令 : do sim.do ,即可自动进行仿真。 更加方便的方法是新建一个批处理文件,进行仿真时直接双击该文件即可。新建一个文本文件,输入命令 保持问后缀名为 .bat 的文件即可。 双击该文件,即可自动打开modelsim,自动执行仿真操作。 bat文件中的 Vsim 命令执行依赖于第一步中modelsim环境变量的添加。 如果该命令不能运行,请正确添加modelsim的环境变量。
这篇文章将为大家详细讲解有关如何进行Modelsim中UVM环境的搭建,文章内容质量较高,因此小编分享给大家做个参考,希望大家阅读完这篇文章后对相关知识有一定的了解。
vlib work
set UVM_HOME C:/modeltech74_10.7/verilog_src/uvm-1.1d set WORK_HOME C:/Users/14551/Desktop/section2.2/2.2.1 set UVM_DPI_HOME C:/modeltech74_10.7/uvm-1.1d/win64
输入下面命令,添加仿真文件。
vlog +incdir+$UVM_HOME/src -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF $UVM_HOME/src/uvm_pkg.sv $WORK_HOME/dut.sv top_tb.sv
vlog +incdir+YOUR_SOURCE_PATH foo.v
vsim -c -sv_lib $UVM_DPI_HOME/uvm_dpi work.top_tb
view wave *
run -all //全部运行 run 10ns //运行10ns
vsim -do sim.do
关于如何进行Modelsim中UVM环境的搭建就分享到这里了,希望以上内容可以对大家有一定的帮助,可以学到更多知识。如果觉得文章不错,可以把它分享出去让更多的人看到。
文件
命令
仿真
环境
路径
输入
变量
目录
工程
测试
运行
波形
成功
信号
内容
后缀
文件夹
文本
文章
更多
数据库的安全要保护哪些东西
数据库安全各自的含义是什么
生产安全数据库录入
数据库的安全性及管理
数据库安全策略包含哪些
海淀数据库安全审计系统
建立农村房屋安全信息数据库
易用的数据库客户端支持安全管理
连接数据库失败ssl安全错误
数据库的锁怎样保障安全
抖音点赞开会员软件开发
后台服务器如何监测文件
数据库怎么更改表值是否为空
武汉趣旅网络技术有限公司
兰州市委网信办网络安全大赛
腾飞网络技术
虹口区无线网络技术售后保障
迷你世界火山生存怎么建立服务器
招远crm软件开发
网络安全技术包括哪些
网络安全法过审时间
greenplum数据库怎么用
一线城市软件开发公司
常州市网络安全监察处
ai服务器拆卸图解
软件开发的质量管理包括哪些内容
数据库管理系统开发人员
手机游戏服务器连接
软件开发能进腾讯吗
无线网络技术考点
服务器的智能监控管理包括
如何建虚拟数据库
龙岗区服务器机柜
他强调网络安全和信息化
软件开发设计物理吗
无线传感网络技术发展历史
河南上门软件开发方案
网络服务器共享ip
校园网络安全大讲堂有感怎么写
数据库查找这个库